题目内容 (请给出正确答案)
[单选题]

VHDL语言是一-种结构化设计语言;一个设计实体(电路模块),括实体与结构体两部分,实体体描述的是()。

A.器件外部特性

B.器件的内部功能

C.器件的综合约束

D.器件外部特性与内部功能

查看答案
如搜索结果不匹配,请 联系老师 获取答案
您可能会需要:
您的账号:,可能会需要:
您的账号:
发送账号密码至手机
发送
更多“VHDL语言是一-种结构化设计语言;一个设计实体(电路模块)…”相关的问题

第1题

VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述()。

A.器件外部特性

B.器件的内部功能

C.器件的综合约束

D.器件外部特性与内部功能

点击查看答案

第2题

在VHDL中,一个设计实体可以拥有一个或多个()。

A.设计实体

B.结构体

C.输入

D.输出

点击查看答案

第3题

VHDL语言中信号定义的位置是()。

A.实体中任何位置

B.实体中特定位置

C.结构体中任何位置

D.结构体中特定位置

点击查看答案

第4题

VHDL语言通常包含实体,构造体,(),()和库五部分。
VHDL语言通常包含实体,构造体,(),()和库五部分。

点击查看答案

第5题

VHDL语言中变量定义的位置是()。

A.实体中中任何位置

B.实体中特定位置

C.结构体中任何位置

D.结构体中特定位置

点击查看答案

第6题

下列描述中,正确的是()。
下列描述中,正确的是()。

A、体素特征是更为常见的创建实体毛坯的方法

B、体素特征是创建实体毛坯的一种方法

C、体素特征不能用于创建实体毛坯

D、UG NX6中,只能通过拉伸草图来创建实体毛坯

点击查看答案

第7题

结构体中的变量应在VHDL程序中()部分给予说明

A.结构体对应的实体的端口表中

B.结构体中关键词BEGIN前

C.结构体中关键词BEGIN后

D.程序包(PACKAGE)

点击查看答案

第8题

描述项目具有逻辑功能的是()

A.实体

B.结构体

C.配置

D.进程

点击查看答案

第9题

()是指实体所具有的某种特性,是用来描述一个实体,例如,产品实体有产品ID、产品名等

A.属性

B.实体

C.联系

D.字段

点击查看答案

第10题

简述VHDL语言实体声明中:IN,OUT,BUFFER和INOUT登端口模式各自的特点。

点击查看答案
热门考试 全部 >
相关试卷 全部 >
账号:
你好,尊敬的上学吧用户
发送账号至手机
密码将被重置
获取验证码
发送
温馨提示
该问题答案仅针对搜题卡用户开放,请点击购买搜题卡。
马上购买搜题卡
我已购买搜题卡, 登录账号 继续查看答案
重置密码
确认修改
谢谢您的反馈

您认为本题答案有误,我们将认真、仔细核查,
如果您知道正确答案,欢迎您来纠错

警告:系统检测到您的账号存在安全风险

为了保护您的账号安全,请在“上学吧”公众号进行验证,点击“官网服务”-“账号验证”后输入验证码“”完成验证,验证成功后方可继续查看答案!

微信搜一搜
上学吧
点击打开微信
警告:系统检测到您的账号存在安全风险
抱歉,您的账号因涉嫌违反上学吧购买须知被冻结。您可在“上学吧”微信公众号中的“官网服务”-“账号解封申请”申请解封,或联系客服
微信搜一搜
上学吧
点击打开微信